首页 科技快讯 ASML CEO透露,有关下一代光刻机

ASML CEO透露,有关下一代光刻机

来源:晰数塔互联网快讯 时间:2023年09月06日 15:27

半导体设备制造商 ASML首席执行官 Peter Wennink 表示,尽管存在一些供应商的阻碍,该公司今年仍将按计划在其下一个产品线中推出首款试点工具。

高数值孔径 EUV 机器只有卡车大小,每台成本超过 3 亿欧元,顶级芯片制造商需要这些机器,以便他们能够在未来十年生产更小、更好的芯片。

ASML 是欧洲最大的技术公司,主导着光刻市场,光刻是芯片制造过程中的关键步骤,使用聚焦光束来帮助创建电路。

Wennink告诉路透社:“一些供应商在实际提高产量和为我们提供适当水平的技术质量方面遇到了一些困难,因此导致了一些延误。”

“但事实上,第一批货物仍在今年,”他周一在埃因霍温举行的一场活动间隙接受采访时补充道。

只有台积电、英特尔、三星以及内存芯片制造商 SK 海力士和美光在使用 ASML 目前的领先产品:常规 EUV(或极紫外)光刻工具,其大小与总线相当,每个成本超过 2 亿美元。

在美国的压力下,荷兰政府不授予ASML向中国芯片制造商出口EUV工具的许可证。

与相机一样,高 NA(高数值孔径)工具将从更宽的角度收集光线,分辨率提高 70%,尽管 ASML 工具使用镜子系统而不是镜头。

ASML光刻机,最新进展

在 SEMICON West上, 本文作者采访了 ASML 的 Mike Lercel。在本文中,该作者结合了SPIE 高级光刻会议上的 ASML 演示材料、Mike 在 SEMICON 上的演示、与 Mike在SEMICON 上的一些讨论以及 ASML 最近的财报电话会议中的一些内容。以分享了ASML光刻机的最新进展。

DUV

ASML 持续改进 DUV 系统。全新 NXT:2100i 具有 4 个新功能,可改善未来逻辑和 DRAM 的重叠和边缘放置错误。

1、用于改进镜头和交叉匹配的畸变操纵器提供了更多的叠加校正控制。

2、经过调节的掩模版库和新的掩模版加热控制提高了掩模版覆盖和吞吐量。

3、PARIS 光学传感器改善了覆盖效果。

4、2 种颜色的对齐也改善了叠加效果。

最终结果是机器匹配覆盖改进至远低于 1.3 纳米(见图 1),而交叉匹配覆盖则略高于 1.1 纳米。

图 1. 机器匹配的覆盖层。

0.33NA EUV

从刚刚完成的季度财务电话会议来看,ASML 目前已发货超过 200 个 NXE:3400/3600 系统。根据作者统计,当中包括45个NXE:3400B、76个NXE:3400C 和 75个NXE:3600D,从总数看来,这个统计是有缺失的,因为我的统计是基于 ASML 的销售数字,然后发货和统计销售之间存在一些延迟。但可以预计的是,NXE:3600D 要么是/要么很快就会成为出货量最多的系统。

从 2014 年第一季度到 2019 年第四季度,系统吞吐量增加了 >17 倍!NXE:3400C 在客户现场以 30mJ/cm2 剂量实现了约 140 片/小时 (wph),NXE:3600D 在客户现场以 30mJ/cm2 剂量实现了略高于 160 wph 的产能,在 ASML 实现了 185 wph 的产能。NXE:3800E 的目标是 >220 wph!参见图 2。

图2、EUV系统吞吐

NXE产量不断提高,2020年全球只有1个系统年产量超过50万片晶圆,2021年这一数字增加到15个,2022年增加到51个,见图3。

图 3.EUV 系统生产力。

NXE:3800E 的目标是在 0.9nm 匹配机器覆盖下 >220 wph,见图 4。

图 4. NXE:3800E 目标。

第一批 NXE:3800E 预计在第四季度发货,见图 5。

图 5. NXE:3800E 发货状态。

EUV 的一大问题一直是系统的巨大功耗。ASML 不断提高能源效率,将每片晶圆的能耗降低了 3 倍,见图 6。

图 6.EUV 能源效率。

0.33NA EUV 系统现已牢固地确立为前沿逻辑和 DRAM 部件上最关键层的首选工具,并且随着每个新节点,更多层都更改为 EUV。

高数值孔径 EUV

0.33NA EUV 系统的单次曝光图案化目前达到约 30nm,随着工艺的成熟,预计会进一步改进,但一些 EUV 多重图案化已用于 5nm 和 3nm 逻辑工艺。较高数值孔径的工具可提高可实现的单次曝光间距限制。

第一个 0.55NA EUV 系统 EXE:5000 将于 2024 年初发货,并于 2025 年批量生产。EXE:5000 是一个开发系统,数量有限。状态如图7所示。

图 7.EXE:5000 状态。

2023 年晚些时候,ASML 位于 Veldhoven 的工厂将与imec 合作建立一个High NA EUV 演示实验室,并于 2024 年初运行工具。

量产型高数值孔径曝光工具将是 EXE:5200,预计将于 2025 年初发货。

Hyper NA EUV

如果间距继续缩小,即使是 0.55NA Hihg NA 曝光工具最终也需要多重图案化,而 ASML 正在认真讨论 NA 约为 0.75NA 的“Hyper NA”工具,但具体 NA 尚未确定。一个关键问题是何时/是否需要这样的工具。

ASML 继续对其产品线进行不懈的改进计划。更快、更精确的 DUV 和 0.33 NA EUV 工具。开发即将推出的 0.55NA 高 NA EUV 工具,甚至超越高 NA 到可能的超 NA 工具。

发布于:安徽

相关推荐

ASML CEO透露,有关下一代光刻机
下一代EUV光刻机,万事俱备?
为什么荷兰ASML敢拒绝美国禁令,对华出口DUV光刻机?
EUV光刻机的全球战争
全球最大制造商ASML回应,卖给中国DUV光刻机无需美国许可
ASML,大约还欠中国大陆300多台光刻机,没有交货?
光刻机三巨头的殊途同归
光刻机巨人ASML是如何炼成的
光刻机巨头ASML第二季度营收47亿美元,净利润12亿美元
光刻机卖不动!ASML被砍单40% ,只有中芯国际还在扩产

网址: ASML CEO透露,有关下一代光刻机 http://www.xishuta.com/newsview89648.html

所属分类:行业热点

推荐科技快讯